I made this widget at MyFlashFetish.com.

FPGA ( Field Programmable Gate Array )

FPGA ini merupakan chip yang dapat dikonfigurasi untuk menjalankan fungsi tertentu tanpa perlu melewati proses semi konduktor yang rumit dan mahal. Perangkat ini termasuk salah satu jenis Programming Logic Device (PLD), dimana FPGA mempunyai banyak kelebihan dibanding jenis-jenis PLD sebelumnya. Di antaranya adalah jenis dan jumlah gerbangnya yang sangat banyak (ribuan hingga ratusan ribu), kecepatannya yang sangat tinggi, mudah diprogram, dan dapat diprogram berkali-kali.



keunggulan dari FPGA antara lain :
  • dari segi Performansi : kemampuan desin sistem yang dapat beroperasi pada frekuensi yang semakin tinggi.
  • Kepadatan dan Kapasitas : kemampuan meningkatkan integrasi system, penempatan lebih banyak system di dalam sebuah chip, dan penggunaan seluruh gate yang ada di dalam FPGA yang membuat keefektifan harga perancangan.
  • Mudah digunakan : kemampuan software yang mudah digunakan untuk perancangan dan kemampuan untuk menambahkan desain baru pada sistem di FPGA yang sama pada saat yang berbeda.
FPGA mempunyai banyak pengaplikasian dalam dunia manufacturing maupun robotika dan berbagia macam bidang lainnya,
Program VHDL yang membuat rangkaian sederhana 2 to 1 multiplexer menggunakan pendekatan behavioral level design ;

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY multiplexer IS PORT (d0, d1, s: IN STD_LOGIC;y: OUT STD_LOGIC);

END multiplexer;

ARCHITECTURE Behavioral OF multiplexer IS

BEGIN
PROCESS(s, d0, d1)
BEGIN

y <= d0 WHEN s = ’0′ ELSE d1;

END PROCESS;
END Behavioral;

Bisa di ubah memakai IF ELSE ;

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY multiplexer is PORT(d0,d1,s : IN STD_LOGIC;y : OUT STD_LOGIC);

END multiplexer;

ARCHITECTURE Behavioral OF multiplexer IS
–blok sekuensial-> ciri dari behavioural level
BEGIN
PROCESS(s, d0, d1)
BEGIN

IF s=’0′ THEN
y <= d0;
ELSE
y <= d1;
END IF;

–y<=d0 WHEN s=’0′ ELSE d1;
END PROCESS;
END Behavioral;


IEEE LIBRARY:
math_real
numeric_bit
numeric_std
std_logic_1164
std_logic_arith
std_logic_signed
std_logic_unsigned:
 
Kita ambil salah satu contoh setengah penambah yang mempunyai satu pintu gerban XOR dan gerbang AND, menggunakan Library IEEE.STD_LOGIC_1164.


Library IEEE;

use IEEE.STD_LOGIC_1164.all;


entity ha_en is  
           
                     port (A,B:in bit;S,C:out bit);

end ha_en;



architecture ha_ar of ha_en is

begin               
           
                      S<=A xor B;
                      C<=A and B;


end ha_ar;


Berikut STD_LOGIC_1164 adalah sebuah standar IEEE yang mendefinisikan tipe logika sembilan-nilai, yang disebut STD_ULOGIC. digunakan adalah kata kunci, yang mengimpor semua deklarasi dari paket ini. Tubuh arsitektur terdiri dari tugas sinyal konkuren, yang menggambarkan fungsionalitas desain. Setiap kali ada perubahan RHS, ekspresi dievaluasi dan nilai tersebut ditetapkan ke LHS.


STD LIBRARY:
Adalah merupakan bagian dari bahasa VHDL dan berisi semua definisi dasar dan jenis bahasa.
  • Standard package, mendefinisikan BIT, INTEGER, WAKTU dan jenis standar lainnya VHDL.
  • Textio package, berada di library STD dan berisi prosedur dan fungsi untuk input ASCII diformat dan output ke file eksternal.

WORKING LIBRARY :
Semua desain yang dikompilasi ke library ini. desain di library ini disebut dengan mendahului pekerjaan kata kunci dengan nama entitas desain.






Kosakata Bahasa Indonesia ( Baku - Tidak Baku )

  • Kromosom-Khromosom
  • Kuorum-Korum
  • Kup-Koup
  • Kristal-Krystal
  • Masyarakat-Masarakat
  • Metode -Metoda
  • Nasihat-Nasehat
  • Objek-Obyek
  • Pasien-Pasen
  • Persentase-Prosentase
  • Personal-Personil
  • Praktik-Praktek
  • Prematur-Premater
  • Proyek-Projek
  • Sakarin-Saharin
  • Sen-Sent
  • Sintesis-Sintesa
  • Silinder-Slinder
  • Sistem-Sistim
  • Skema-Skhema
  • Skripsi-Sekripsi
  • Struktural-Strukturil
  • Taksi-Taxi
  • Teknik-Tehnik
  • Teleks-Telex
  • Tim-Team
  • Trailer-Triler
  • Transportasi-Tranportasi
  • Vakum-Vakem
  • Xenon-Senon
  • Zigot-Zigote
  • Zodiak-Jodiak
  • zuhur-juhur
  • sportivitas - sportifitas
  • sportif - sportip
  • aktivitas - aktifitas
  • aktif - aktip
  • pengkreditan - pengreditan
  • mengkreditkan - mengreditkan
  • antarnegara - antar negara
  • pascapanen - pasca panen
  • dasawisma - dasa wisma
  • pancaroba - panca roba 
  • Bertemu-Ketemu
  • Mengapa-Kenapa
  • Bekarja-Kerja
  • Berkali kali- Berulang kali
  • Para tamu-Para tamu-tamu
  • Hadirin-Para hadirin
  • Insaf-Insyaf
  • Sah-Syah
  • Syukur-Sukur
  • Akhir-Ahir
  • Pihak-Fihak
  • Anggota-Anggauta
  • Ahli-Akhli
  • Atau-ataw
  • Asas-Azas
  • Ijazah-Izazah
  • Abjad-Abjat
  • Adegan-Adehan
  • Administrasi-Administerasi
  • Aerob-Aerobe
  • Aerodinamika-Aerodinamik
  • Aksen-Asen
  • Aktual-Aktuil
  • Amin-Amien
  • Anarki-Anarchi
  • Apotek-Apotik
  • Aki-Accu
  • Aksesori-Asesori
  • Akuarium-Aquarium
  • Atmosfer-Atmosfir
  • Audiogram-Odiogram
  • Auditorium-Oditorium
  • Bazar-Basar
  • Biodata Bio-data
  • Bus-Bis
  • Cek-Check
  • Dialog-Dialok
  • Dinamo-Dinamu
  • Afek-Apek
  • Eksklusif-Esklusif
  • Ekstra-Extra
  • Fase-Pase
  • Fisik-Pisik
  • Formal-Formil
  • Frekuensi-Frekwensi
  • Hemoglobin-Haemoglobin
  • Hidraulik-Hidrolik
  • Instruksi-Intruksi
  • insyaf-insap
  • Jadwal-Jadual
  • Karier-Karir
  • Kaustik-Kostik
  • Keterampilan-Ketrampilan
  • khayal-hayal
  • Kolera-Kholera
  • Konduite-Kondite
  • Konstruksi-Kontruksi
  • Koordinasi-Kordinasi

Mengapa Sampai Sekarang Harus Belajar Bahasa Indonesia ?.


Bahasa Indonesia adalah bahasa resmi Republik Indonesia dan bahasa persatuan Bangsa Indonesia. Bahasa Indonesia diresmikan penggunaannya setelah Proklamasi Kemerdekaan Indonesia, tepatnya sehari sesudahnya.saya tahu betapa pentingnya sebuah bahasa dalam kehidupan sehari-hari.

Bahasa Indonesia adalah bahasa resmi yang dipakai di Indonesia. Pertanyaannya sekarang apakah bahasa Indonesia saya sehari – hari sudah baik dan benar? Saya sendiri tidak tahu bagaimana menjawabnya.

Kita sebagai warga Negara  Indonesia pasti sadar,betapa banyaknya ragam bahasa di Indonesia. Lain daerah lain bahasa, orang Sumatera memiliki bahasa sendiri, orang Jawa memiliki bahasa sendiri, orang Kalimantan memiliki bahasa sendiri. Dan ragam bahasa itu menjadi kebanggaaan kita sebagai warga Negara Indonesia.
Bahasa indonesia itu banyak fungsinya, Dengan membiasakan komunikasi dengan bahasa Indonesia akan memudahkan kita dalam berkomunikasi antar daerah. Kita bangsa Indonesia maka bahasa yang di gunakan adalah bahasa Indonesia. Sebagai orang indonesia, pasti beranggapan bahwa kita ini sudah mengerti bahasa indonesia.Pada kenyataannya ternyata belum, yang membuat saya terkejut adalah banyaknya penulis yang belum mahir menggunakan bahasa Indonesia.

Untuk mendapatkan pengetahuan itu kita harus belajar bahasa Indonesia. Sejak kecil  kita memulai pendidikan  dari sekolah di tingkat dasar, menengah, atas dan sampai kuliah. Ilmu itu di ajarkan dalam bahasa Indonesia. Kalau dulu kita belajar dari orang lain, kini giliran kita untuk mengajarkan kepada orang lain.Bagaimana kita dapat mengajarkan kepada orang lain sedangkan bahasa Indonesia kita berantakan.
Satu yang menjadi perhatian saya sekarang adalah,mengapa anak-anak jaman sekarang banyak yang menggampangkan bahasa negaranya sendiri dan lebih menyukai bahasa asing. itulah yang harus di perhatikan oleh lembaga pendidikan.
Powered By Blogger
top